Applications 1

De la WikiLabs
Versiunea din 26 februarie 2022 23:26, autor: Zhascsi (discuție | contribuții) (Pagină nouă: == The Testbench Module == The testbench is a module used only in simulation for testing another module. It encloses the tested module (named DUT - Device Under Test) and generate...)
(dif) ← Versiunea anterioară | Versiunea curentă (dif) | Versiunea următoare → (dif)
Jump to navigationJump to search

The Testbench Module

The testbench is a module used only in simulation for testing another module. It encloses the tested module (named DUT - Device Under Test) and generates the signals for the inputs of the DUT. Additionally the testbench may automatically check the correctness of the DUT outputs, and may report or log the values of various DUT signals and events of the simulation. Simple testbenches generate all signals and do all checks and reports using verilog statements, but more complex testbenches may instantiate helper modules designed for various test functions and operations (signal drivers/generators, output checkers, scoreboards a.s.o.).