Arhitectura Sistemelor de Calcul

De la WikiLabs
Jump to navigationJump to search
Versiunea pentru tipărire nu mai este suportată și poate avea erori de randare. Vă rugăm să vă actualizați bookmarkurile browserului și să folosiți funcția implicită de tipărire a browserului.
  1. Laboratorul 1
  2. Laboratorul 2
  3. Laboratorul 4
  4. Laboratorul 6

Tutoriale

Tutorial Vivado

Quartus New Project Tutorial

Verilog Quick Reference Guide

Suport software

Xilinx

Tutorial instalare Vivado

Intel

Quartus II Web Edition 14.1.

(Pentru o instalare minimală selectați doar Cyclone V din lista de familii FPGA suportate)

Pentru a seta calea simulatorului Modelsim pentru Quartus Lite 18.1 din meniul Tools -> Options ... -> EDA Tool Options se alege pentru ModelSim Altera calea /opt/intelFPGA_lite/18.1/modelsim_ase/bin

colocviu

refacere partial