CID Lab Lucrarea 1: Diferență între versiuni

De la WikiLabs
Linia 46: Linia 46:
  
 
[[Fișier:lab1_ex3.jpg]]
 
[[Fișier:lab1_ex3.jpg]]
 +
 +
== Exercițiul 4 ==
 +
 +
Realizați descrierea în Verilog a modulului cu intrările A, B, C și ieșirea Z, cu funcționalitatea conform schemei alăturate. Implementați circuitul pe placa Nexys2 conectând intrările A, B, C la SW3, SW4, respectiv SW5, iar ieșirea Z la LD6.
 +
 +
[[Fișier:lab1_ex4.png]]
  
 
== Recomandări pentru cadrele didactice ==
 
== Recomandări pentru cadrele didactice ==

Versiunea de la data 9 martie 2015 04:16

Noțiuni și cunoștințe necesare

Exemplu

Creați un proiect nou în Xilinx ISE, pentru dispozitivul FPGA de pe placa Nexys2. Creați un fișier sursă Verilog în cadrul proiectului, și copiați modulul următor în fisier.

module OrGate(
	output out,
	input in1,
	input in2
);

assign out = in1 | in2;

endmodule

Creați un fișier de constrângeri și scrieți constrângerile necesare pentru ca intrările și ieșirile circuitului să fie conectate astfel:

  • in1 conectat la SW0: "NET in1 LOC=G18;"
  • in2 conectat la SW7: "NET in2 LOC=R17;"
  • out conectat la LD3: "NET out LOC=K14;"

Implementați proiectul pe placa Nexys și observați funcționalitatea circuitului descris de proiectul Xilinx ISE.

Exercițiul 1

Realizați descrierea în Verilog a modulului cu intrările A, B, C și ieșirea D, cu funcționalitatea conform schemei alăturate. Implementați circuitul pe placa Nexys2 conectând intrările A, B, C la SW2, SW4, respectiv SW6, iar ieșirea D la LD2.

Lab1 ex1.jpg

Exercițiul 2

Realizați descrierea în Verilog a modulului cu intrările A, B, C și ieșirea D, cu funcționalitatea conform schemei alăturate. Implementați circuitul pe placa Nexys2 conectând intrările A, B, C la SW3, SW4, respectiv SW7, iar ieșirea D la LD7.

Lab1 ex2.gif

Exercițiul 3

Realizați descrierea în Verilog a modulului cu intrările A, B, C și ieșirea Q, cu funcționalitatea conform schemei alăturate. Implementați circuitul pe placa Nexys2 conectând intrările A, B, C la SW1, SW6, respectiv SW7, iar ieșirea Q la LD3.

Lab1 ex3.jpg

Exercițiul 4

Realizați descrierea în Verilog a modulului cu intrările A, B, C și ieșirea Z, cu funcționalitatea conform schemei alăturate. Implementați circuitul pe placa Nexys2 conectând intrările A, B, C la SW3, SW4, respectiv SW5, iar ieșirea Z la LD6.

Lab1 ex4.png

Recomandări pentru cadrele didactice