CID Lab Lucrarea 2: Diferență între versiuni

De la WikiLabs
(Noțiuni și cunoștințe necesare)
(Noțiuni și cunoștințe necesare)
 
(Nu s-au afișat 16 versiuni intermediare efectuate de alți 3 utilizatori)
Linia 5: Linia 5:
 
* [[Introducere. Verilog HDL și ModelSim|Logică booleană și sisteme de numerație]]
 
* [[Introducere. Verilog HDL și ModelSim|Logică booleană și sisteme de numerație]]
 
* Noțiuni de sintaxă [[Verilog]]
 
* Noțiuni de sintaxă [[Verilog]]
* [[Dispozitiv_de_IO:_Afișajul_cu_7_segmente|Afișajul cu 7 segmente]]
+
* [[Dispozitiv_de_IO:_Afișajul_cu_7_segmente|Afișajul cu 7 segmente]]. [http://wiki.dcae.pub.ro/images/a/a5/Numerele_hexa_pe_afisajul_cu_7_segmente.pdf Numerele hexa pe afisajul cu 7 segmente]
  
 
== Exemplul 1 ==
 
== Exemplul 1 ==
Linia 18: Linia 18:
 
== Exerciții ==
 
== Exerciții ==
  
Completați transcodorul de la exemplul 2 astfel încât să poată afișa valorile de la 0 la 15, în baza 16 (10 = A, 11 = b, 12 = C, 13 = d, 14 = E, 15 = F). Adăugați circuitului un decodor folosit pentru a selecta care din cele 4 cifre afișeze valoarea selectată. Intrarea pentru selecția cifrei se va numi '''selection''', iar ieșirea '''out_sel'''. Corespondența între '''selection''' și cele 4 cifre cu 7 segmente de pe placa Nexys 2 este conform regulii:
+
Următoarele exerciții folosesc transcodorul binar - 7 segemente pentru afișarea în hexazecimal a rezultatului unei operații simple. Pentru a vă asigura că transcodorul este scris corect, la pct. a veți verifica funcționarea lui. Proiectul pe care trebuie -l trimiteți la final este cel de la pct. b.
* selection = 0 aprinde cifra corespunzătoare AN0
+
 
* selection = 1 aprinde cifra corespunzătoare AN1
 
* selection = 2 aprinde cifra corespunzătoare AN2
 
* selection = 3 aprinde cifra corespunzătoare AN3
 
  
Modulul top-level se va numi '''afisaj7seg'''.
 
  
 
=== Exercitiul 1===
 
=== Exercitiul 1===
 +
a. Completați și modificații transcodorul de la exemplul 2 astfel încât să poată afișa valorile de la 0 la 15, în baza 16 (10 = A, 11 = b, 12 = C, 13 = d, 14 = E, 15 = F) '''pe a doua poziție din dreapta'''.
 +
*Denumiți modulul '''afisaj7seg'''
 +
*Biții '''out_seg''' corespund cu segmentele în modul următor:
  
*Intrarea '''value''' se va conecta la switchurile SW3-SW0 (corespunzător biților 3->0 ai '''value''', în această ordine).
+
**Bitul 6 corespunde segmentului 0
*Intrarea '''selection''' se va conecta la SW7-SW6 (corespunzător biților 1->0 ai '''selection''', în această ordine).
+
**Bitul 5 corespunde segmentului 1
*Biții '''out_seg''' corespund cu segmentele în modul următor:
+
**Bitul 4 corespunde segmentului 2
**Bitul 7 corespunde segmentului A
+
**Bitul 3 corespunde segmentului 3
**Bitul 6 corespunde segmentului B
+
**Bitul 2 corespunde segmentului 4
**Bitul 5 corespunde segmentului C
+
**Bitul 1 corespunde segmentului 5
**Bitul 4 corespunde segmentului D
+
**Bitul 0 corespunde segmentului 6
**Bitul 3 corespunde segmentului E
+
 
**Bitul 2 corespunde segmentului F
+
Verificați funcționarea corectă a afișajului pe placa DE1, conectând intrarea pe SW3-SW0. Modificați pinii la ieșire astfel încât valoarea să fie afișată pe '''Digit 1'''.
**Bitul 1 corespunde segmentului G
+
 
**Bitul 0 corespunde segmentului P
+
b. Realizați un circuit care adună două numere binare pe 3 biți, iar rezultatul, pe 4 biți, va fi afișat ca cifră hexazecimală cu ajutorul transcodorului de la pct. a).
*Ieșirile se vor conecta la pinii corespunzători pentru afișajul cu 7 segmente.
+
Restricții:
 +
 
 +
*Creați un nou proiect, în care modulul top-level este denumit '''top'''.
 +
*Cele două intrări se vor nota cu a, respectiv b, și se vor conecta la switchurile SW2-SW0 (corespunzător biților 2->0 ai lui '''a''', în această ordine), respectiv SW9-SW7 (corespunzător biților 2->0 ai lui '''b''', în această ordine) .
 +
*Ieșirile se vor conecta la pinii corespunzători pentru afișajul cu 7 segmente, Digit 1.
 +
*Sumatorul și transcodorul vor fi descrise în module diferite (denumite '''sumator''' și '''afisaj7seg''', scrise în fișiere diferite, și instanțiate în modulul de ''top''.
  
 
===Exercitiul 2===
 
===Exercitiul 2===
  
*Intrarea '''value''' se va conecta la switchurile SW7-SW4 (corespunzător biților 3->0 ai '''value''', în această ordine)
+
a. Completați și modificații transcodorul de la exemplul 2 astfel încât să poată afișa valorile de la 0 la 15, în baza 16 (10 = A, 11 = b, 12 = C, 13 = d, 14 = E, 15 = F) '''pe poziția din stânga'''.
*Intrarea '''selection''' se va conecta la SW1-SW0 (corespunzător biților 1->0 ai '''selection''', în această ordine)
+
*Denumiți modulul '''afisaj7seg'''
 
*Biții '''out_seg''' corespund cu segmentele în modul următor:
 
*Biții '''out_seg''' corespund cu segmentele în modul următor:
**Bitul 7 corespunde segmentului P
+
 
**Bitul 6 corespunde segmentului A
+
**Bitul 6 corespunde segmentului 1
**Bitul 5 corespunde segmentului G
+
**Bitul 5 corespunde segmentului 2
**Bitul 4 corespunde segmentului D
+
**Bitul 4 corespunde segmentului 3
**Bitul 3 corespunde segmentului F
+
**Bitul 3 corespunde segmentului 4
**Bitul 2 corespunde segmentului B
+
**Bitul 2 corespunde segmentului 5
**Bitul 1 corespunde segmentului E
+
**Bitul 1 corespunde segmentului 6
**Bitul 0 corespunde segmentului C
+
**Bitul 0 corespunde segmentului 0
*Ieșirile se vor conecta la pinii corespunzători pentru afișajul cu 7 segmente.
+
 
 +
Verificați funcționarea corectă a afișajului pe placa DE1, conectând intrarea pe SW5-SW2. Modificați pinii la ieșire astfel încât valoarea să fie afișată pe '''Digit 3'''.
 +
 
 +
b. Realizați un circuit care înmulțește două numere binare, numărul a, pe 3 biți, și numărul b, pe 2 biți, iar rezultatul, pe 4 biți, va fi afișat ca cifră hexazecimală cu ajutorul transcodorului de la pct. a).
 +
Restricții:
 +
 
 +
*Creați un nou proiect, în care modulul top-level este denumit '''top'''.
 +
*Cele două intrări se vor nota cu a, respectiv b, și se vor conecta la switchurile SW9-SW7 (corespunzător biților 2->0 ai lui '''a''', în această ordine), respectiv SW2-SW1 (corespunzător biților 1->0 ai lui '''b''', în această ordine) .
 +
*Ieșirile se vor conecta la pinii corespunzători pentru afișajul cu 7 segmente, Digit 3.
 +
*Inmulțitorul și transcodorul vor fi descrise în module diferite (denumite '''produs''' și '''afisaj7seg''', scrise în fișiere diferite, și instanțiate în modulul de ''top''.
 +
 
 +
''Indicație:'' Produsul celor două numere (ieșirea înmulțitorului) este un număr pe 5 biți, notat, de ex, '''prod'''. Dacă rezultatul depășește valoarea 15, la ieșire vom afișa „eroare”, care poate fi simbolizată, de ex, de o liniuță pe poziția centrală (segmentul 6). Ieșirea modulului de top, notată out, se calculează în felul următor:
 +
 
 +
assign out = prod[4] ? 7'b1111101 : out_seg;
  
 
===Exercitiul 3===
 
===Exercitiul 3===
  
*Intrarea '''value''' se va conecta la switchurile SW6-SW3 (corespunzător biților 3->0 ai '''value''', în această ordine)
+
a. Completați și modificații transcodorul de la exemplul 2 astfel încât să poată afișa valorile de la 0 la 15, în baza 16 (10 = A, 11 = b, 12 = C, 13 = d, 14 = E, 15 = F) '''pe a doua poziție din stânga'''.
*Intrarea '''selection''' se va conecta la SW2-SW1 (corespunzător biților 1->0 ai '''selection''', în această ordine)
+
*Denumiți modulul '''afisaj7seg'''
 
*Biții '''out_seg''' corespund cu segmentele în modul următor:
 
*Biții '''out_seg''' corespund cu segmentele în modul următor:
**Bitul 7 corespunde segmentului P
+
 
**Bitul 6 corespunde segmentului G
+
**Bitul 6 corespunde segmentului 1
**Bitul 5 corespunde segmentului F
+
**Bitul 5 corespunde segmentului 3
**Bitul 4 corespunde segmentului E
+
**Bitul 4 corespunde segmentului 2
**Bitul 3 corespunde segmentului D
+
**Bitul 3 corespunde segmentului 6
**Bitul 2 corespunde segmentului C
+
**Bitul 2 corespunde segmentului 4
**Bitul 1 corespunde segmentului B
+
**Bitul 1 corespunde segmentului 5
**Bitul 0 corespunde segmentului A
+
**Bitul 0 corespunde segmentului 0
*Ieșirile se vor conecta la pinii corespunzători pentru afișajul cu 7 segmente.
+
 
 +
Verificați funcționarea corectă a afișajului pe placa DE1, conectând intrarea pe SW4-SW1. Modificați pinii la ieșire astfel încât valoarea să fie afișată pe '''Digit 2'''.
 +
 
 +
b. Realizați un circuit care face operația SAU EXCLUSIV (bit cu bit) între 2 numere binare pe 4 biți, iar rezultatul, pe 4 biți, va fi afișat ca cifră hexazecimală cu ajutorul transcodorului de la pct. a).
 +
Restricții:
 +
 
 +
*Creați un nou proiect, în care modulul top-level este denumit '''top'''.
 +
*Cele două intrări se vor nota cu a, respectiv b, și se vor conecta la switchurile SW3-SW0 (corespunzător biților 3->0 ai lui '''a''', în această ordine), respectiv SW9-SW6 (corespunzător biților 3->0 ai lui '''b''', în această ordine) .
 +
*Ieșirile se vor conecta la pinii corespunzători pentru afișajul cu 7 segmente, Digit 2.
 +
*Circuitul logic și transcodorul vor fi descrise în module diferite (denumite '''sau_exclusiv''' și '''afisaj7seg''', scrise în fișiere diferite, și instanțiate în modulul de ''top''.
  
 
===Exercitiul 4===
 
===Exercitiul 4===
  
*Intrarea '''value''' se va conecta la switchurile SW5-SW2 (corespunzător biților 3->0 ai '''value''', în această ordine)
+
a. Completați și modificații transcodorul de la exemplul 2 astfel încât să poată afișa valorile de la 0 la 15, în baza 16 (10 = A, 11 = b, 12 = C, 13 = d, 14 = E, 15 = F) '''pe poziția din stânga'''.
*Intrarea '''selection''' se va conecta la SW7-SW6 (corespunzător biților 1->0 ai '''selection''', în această ordine)
+
*Denumiți modulul '''afisaj7seg'''
 
*Biții '''out_seg''' corespund cu segmentele în modul următor:
 
*Biții '''out_seg''' corespund cu segmentele în modul următor:
**Bitul 7 corespunde segmentului G
 
**Bitul 6 corespunde segmentului B
 
**Bitul 5 corespunde segmentului E
 
**Bitul 4 corespunde segmentului C
 
**Bitul 3 corespunde segmentului F
 
**Bitul 2 corespunde segmentului A
 
**Bitul 1 corespunde segmentului D
 
**Bitul 0 corespunde segmentului P
 
*Ieșirile se vor conecta la pinii corespunzători pentru afișajul cu 7 segmente.
 
  
[[Fișier:transcoder.png]]
+
**Bitul 6 corespunde segmentului 2
 +
**Bitul 5 corespunde segmentului 4
 +
**Bitul 4 corespunde segmentului 6
 +
**Bitul 3 corespunde segmentului 3
 +
**Bitul 2 corespunde segmentului 5
 +
**Bitul 1 corespunde segmentului 1
 +
**Bitul 0 corespunde segmentului 0
 +
 
 +
Verificați funcționarea corectă a afișajului pe placa DE1, conectând intrarea pe SW9-SW6. Modificați pinii la ieșire astfel încât valoarea să fie afișată pe '''Digit 3'''.
 +
 
 +
b. Realizați un circuit care adună două numere binare pe 3 biți, iar rezultatul, pe 4 biți, va fi afișat ca cifră hexazecimală cu ajutorul transcodorului de la pct. a).
 +
Restricții:
 +
 
 +
*Creați un nou proiect, în care modulul top-level este denumit '''top'''.
 +
*Cele două intrări se vor nota cu in1, respectiv in2, și se vor conecta la switchurile SW7-SW5 (corespunzător biților 2->0 ai lui '''in1''', în această ordine), respectiv SW4-SW2 (corespunzător biților 2->0 ai lui '''in2''', în această ordine) .
 +
*Ieșirile se vor conecta la pinii corespunzători pentru afișajul cu 7 segmente, Digit 3.
 +
*Sumatorul și transcodorul vor fi descrise în module diferite (denumite '''sumator''' și '''afisaj7seg''', scrise în fișiere diferite, și instanțiate în modulul de ''top''.
 +
 
 +
===Exercitiul 5===
 +
 
 +
a. Completați și modificații transcodorul de la exemplul 2 astfel încât să poată afișa valorile de la 0 la 15, în baza 16 (10 = A, 11 = b, 12 = C, 13 = d, 14 = E, 15 = F) '''pe poziția a doua din stânga'''.
 +
*Denumiți modulul '''afisaj7seg'''
 +
*Biții '''out_seg''' corespund cu segmentele în modul următor:
 +
 
 +
**Bitul 6 corespunde segmentului 3
 +
**Bitul 5 corespunde segmentului 4
 +
**Bitul 4 corespunde segmentului 1
 +
**Bitul 3 corespunde segmentului 2
 +
**Bitul 2 corespunde segmentului 0
 +
**Bitul 1 corespunde segmentului 5
 +
**Bitul 0 corespunde segmentului 6
 +
 
 +
Verificați funcționarea corectă a afișajului pe placa DE1, conectând intrarea pe SW8-SW5. Modificați pinii la ieșire astfel încât valoarea să fie afișată pe '''Digit 2'''.
 +
 
 +
b. Realizați un circuit care înmulțește două numere binare, numărul in1, pe 2 biți, și numărul in2, pe 3 biți, iar rezultatul, pe 4 biți, va fi afișat ca cifră hexazecimală cu ajutorul transcodorului de la pct. a).
 +
Restricții:
 +
 
 +
*Creați un nou proiect, în care modulul top-level este denumit '''top'''.
 +
*Cele două intrări se vor nota cu in1, respectiv in2, și se vor conecta la switchurile SW3-SW2 (corespunzător biților 1->0 ai lui '''in1''', în această ordine), respectiv SW9-SW7 (corespunzător biților 2->0 ai lui '''in2''', în această ordine) .
 +
*Ieșirile se vor conecta la pinii corespunzători pentru afișajul cu 7 segmente, Digit 2.
 +
*Inmulțitorul și transcodorul vor fi descrise în module diferite (denumite '''produs''' și '''afisaj7seg''', scrise în fișiere diferite, și instanțiate în modulul de ''top''.
 +
 
 +
''Indicație:'' Produsul celor două numere (ieșirea înmulțitorului) este un număr pe 5 biți, notat, de ex, '''prod'''. Dacă rezultatul depășește valoarea 15, la ieșire vom afișa „eroare”, care poate fi simbolizată, de ex, de o liniuță pe poziția centrală (segmentul 6). Ieșirea modulului de top, notată out, se calculează în felul următor:
 +
 
 +
assign out = prod[4] ? 7'b1111110 : out_seg;
 +
 
 +
===Exercitiul 6===
 +
 
 +
a. Completați și modificații transcodorul de la exemplul 2 astfel încât să poată afișa valorile de la 0 la 15, în baza 16 (10 = A, 11 = b, 12 = C, 13 = d, 14 = E, 15 = F) '''pe a doua poziție din dreapta'''.
 +
*Denumiți modulul '''afisaj7seg'''
 +
*Biții '''out_seg''' corespund cu segmentele în modul următor:
 +
 
 +
**Bitul 6 corespunde segmentului 1
 +
**Bitul 5 corespunde segmentului 3
 +
**Bitul 4 corespunde segmentului 2
 +
**Bitul 3 corespunde segmentului 6
 +
**Bitul 2 corespunde segmentului 4
 +
**Bitul 1 corespunde segmentului 5
 +
**Bitul 0 corespunde segmentului 0
 +
 
 +
Verificați funcționarea corectă a afișajului pe placa DE1, conectând intrarea pe SW4-SW1. Modificați pinii la ieșire astfel încât valoarea să fie afișată pe '''Digit 1'''.
 +
 
 +
b. Realizați un circuit care face operația SAU  (bit cu bit) între 2 numere binare pe 4 biți, iar rezultatul, pe 4 biți, va fi afișat ca cifră hexazecimală cu ajutorul transcodorului de la pct. a).
 +
Restricții:
 +
 
 +
*Creați un nou proiect, în care modulul top-level este denumit '''top'''.
 +
*Cele două intrări se vor nota cu in1, respectiv in2, și se vor conecta la switchurile SW8-SW5 (corespunzător biților 3->0 ai lui '''in1''', în această ordine), respectiv SW4-SW1 (corespunzător biților 3->0 ai lui '''in2''', în această ordine) .
 +
*Ieșirile se vor conecta la pinii corespunzători pentru afișajul cu 7 segmente, Digit1.
 +
*Circuitul logic și transcodorul vor fi descrise în module diferite (denumite '''sau''' și '''afisaj7seg''', scrise în fișiere diferite, și instanțiate în modulul de ''top''.
  
 
== Submiterea Exercițiilor ==
 
== Submiterea Exercițiilor ==
  
Pentru notare, se vor submite următoarele fișiere, către adresa de e-mail indicată de cadrul didactic <span style="color: red; font-weight: bold"> și către adresa cid_lab_homework@dcae.pub.ro</span>
+
Pentru notare, se vor submite următoarele fișiere, către adresa de e-mail indicată de cadrul didactic.
  
O arhivă <span style="color: red; font-weight: bold">zip<span> ce va conține:
+
O arhivă <span style="color: red; font-weight: bold">zip</span> ce va conține:
*Toate fișierele Verilog (cu extensia .v) care conțin descrierea circuitului de afișare pe 7 segmente
+
*Trei fișiere Verilog cu extensia .v care conțin descrierea Verilog a circuitului logic, a transcodorului și a modulului de top.
*Un fișier de constrângeri, cu extensia .ucf
+
*Un fișier de constrângeri, cu extensia .qsf
*Un fișier de tip proiect Xilinx ISE, cu extensia .xise
+
*Un fișier de tip proiect Quartus, cu extensia .qpf
Atenție, arhiva va conține doar fișiere (fără directoare).
+
Atentie, arhiva va contine doar cele 5 fisiere (fara directoare).
  
 
Subiectul mesajului de e-mail trebuie să respecte formatul <span style="color: red; font-weight: bold">[Nume]_[Prenume]_[Grupa]_7seg_[Nr. Exercitiu]</span> de exemplu Petrica_Lucian_423B_7seg_4
 
Subiectul mesajului de e-mail trebuie să respecte formatul <span style="color: red; font-weight: bold">[Nume]_[Prenume]_[Grupa]_7seg_[Nr. Exercitiu]</span> de exemplu Petrica_Lucian_423B_7seg_4

Versiunea curentă din 12 martie 2018 08:40

Noțiuni și cunoștințe necesare

Exemplul 1

Realizați un decodor de doi biți folosind un bloc case. Testați decodorul legând intrările la switch-uri și ieșirile la led-uri.

Exemplul 2

Realizați un transcodor pentru afișajul cu 7 segmente, folosind un bloc case, care să poată afișa valorile de la 0 la 3. Intrarea modulului se va numi value iar ieșirea out_seg. Testați decodorul aplicând intrarea pe SW1 și SW0 și afișând ieșirea pe afișajul cu 7 segmente, cifra din dreapta (Digit0).

Exerciții

Următoarele exerciții folosesc transcodorul binar - 7 segemente pentru afișarea în hexazecimal a rezultatului unei operații simple. Pentru a vă asigura că transcodorul este scris corect, la pct. a veți verifica funcționarea lui. Proiectul pe care trebuie să-l trimiteți la final este cel de la pct. b.


Exercitiul 1

a. Completați și modificații transcodorul de la exemplul 2 astfel încât să poată afișa valorile de la 0 la 15, în baza 16 (10 = A, 11 = b, 12 = C, 13 = d, 14 = E, 15 = F) pe a doua poziție din dreapta.

  • Denumiți modulul afisaj7seg
  • Biții out_seg corespund cu segmentele în modul următor:
    • Bitul 6 corespunde segmentului 0
    • Bitul 5 corespunde segmentului 1
    • Bitul 4 corespunde segmentului 2
    • Bitul 3 corespunde segmentului 3
    • Bitul 2 corespunde segmentului 4
    • Bitul 1 corespunde segmentului 5
    • Bitul 0 corespunde segmentului 6

Verificați funcționarea corectă a afișajului pe placa DE1, conectând intrarea pe SW3-SW0. Modificați pinii la ieșire astfel încât valoarea să fie afișată pe Digit 1.

b. Realizați un circuit care adună două numere binare pe 3 biți, iar rezultatul, pe 4 biți, va fi afișat ca cifră hexazecimală cu ajutorul transcodorului de la pct. a). Restricții:

  • Creați un nou proiect, în care modulul top-level este denumit top.
  • Cele două intrări se vor nota cu a, respectiv b, și se vor conecta la switchurile SW2-SW0 (corespunzător biților 2->0 ai lui a, în această ordine), respectiv SW9-SW7 (corespunzător biților 2->0 ai lui b, în această ordine) .
  • Ieșirile se vor conecta la pinii corespunzători pentru afișajul cu 7 segmente, Digit 1.
  • Sumatorul și transcodorul vor fi descrise în module diferite (denumite sumator și afisaj7seg, scrise în fișiere diferite, și instanțiate în modulul de top.

Exercitiul 2

a. Completați și modificații transcodorul de la exemplul 2 astfel încât să poată afișa valorile de la 0 la 15, în baza 16 (10 = A, 11 = b, 12 = C, 13 = d, 14 = E, 15 = F) pe poziția din stânga.

  • Denumiți modulul afisaj7seg
  • Biții out_seg corespund cu segmentele în modul următor:
    • Bitul 6 corespunde segmentului 1
    • Bitul 5 corespunde segmentului 2
    • Bitul 4 corespunde segmentului 3
    • Bitul 3 corespunde segmentului 4
    • Bitul 2 corespunde segmentului 5
    • Bitul 1 corespunde segmentului 6
    • Bitul 0 corespunde segmentului 0

Verificați funcționarea corectă a afișajului pe placa DE1, conectând intrarea pe SW5-SW2. Modificați pinii la ieșire astfel încât valoarea să fie afișată pe Digit 3.

b. Realizați un circuit care înmulțește două numere binare, numărul a, pe 3 biți, și numărul b, pe 2 biți, iar rezultatul, pe 4 biți, va fi afișat ca cifră hexazecimală cu ajutorul transcodorului de la pct. a). Restricții:

  • Creați un nou proiect, în care modulul top-level este denumit top.
  • Cele două intrări se vor nota cu a, respectiv b, și se vor conecta la switchurile SW9-SW7 (corespunzător biților 2->0 ai lui a, în această ordine), respectiv SW2-SW1 (corespunzător biților 1->0 ai lui b, în această ordine) .
  • Ieșirile se vor conecta la pinii corespunzători pentru afișajul cu 7 segmente, Digit 3.
  • Inmulțitorul și transcodorul vor fi descrise în module diferite (denumite produs și afisaj7seg, scrise în fișiere diferite, și instanțiate în modulul de top.

Indicație: Produsul celor două numere (ieșirea înmulțitorului) este un număr pe 5 biți, notat, de ex, prod. Dacă rezultatul depășește valoarea 15, la ieșire vom afișa „eroare”, care poate fi simbolizată, de ex, de o liniuță pe poziția centrală (segmentul 6). Ieșirea modulului de top, notată out, se calculează în felul următor:

assign out = prod[4] ? 7'b1111101 : out_seg;

Exercitiul 3

a. Completați și modificații transcodorul de la exemplul 2 astfel încât să poată afișa valorile de la 0 la 15, în baza 16 (10 = A, 11 = b, 12 = C, 13 = d, 14 = E, 15 = F) pe a doua poziție din stânga.

  • Denumiți modulul afisaj7seg
  • Biții out_seg corespund cu segmentele în modul următor:
    • Bitul 6 corespunde segmentului 1
    • Bitul 5 corespunde segmentului 3
    • Bitul 4 corespunde segmentului 2
    • Bitul 3 corespunde segmentului 6
    • Bitul 2 corespunde segmentului 4
    • Bitul 1 corespunde segmentului 5
    • Bitul 0 corespunde segmentului 0

Verificați funcționarea corectă a afișajului pe placa DE1, conectând intrarea pe SW4-SW1. Modificați pinii la ieșire astfel încât valoarea să fie afișată pe Digit 2.

b. Realizați un circuit care face operația SAU EXCLUSIV (bit cu bit) între 2 numere binare pe 4 biți, iar rezultatul, pe 4 biți, va fi afișat ca cifră hexazecimală cu ajutorul transcodorului de la pct. a). Restricții:

  • Creați un nou proiect, în care modulul top-level este denumit top.
  • Cele două intrări se vor nota cu a, respectiv b, și se vor conecta la switchurile SW3-SW0 (corespunzător biților 3->0 ai lui a, în această ordine), respectiv SW9-SW6 (corespunzător biților 3->0 ai lui b, în această ordine) .
  • Ieșirile se vor conecta la pinii corespunzători pentru afișajul cu 7 segmente, Digit 2.
  • Circuitul logic și transcodorul vor fi descrise în module diferite (denumite sau_exclusiv și afisaj7seg, scrise în fișiere diferite, și instanțiate în modulul de top.

Exercitiul 4

a. Completați și modificații transcodorul de la exemplul 2 astfel încât să poată afișa valorile de la 0 la 15, în baza 16 (10 = A, 11 = b, 12 = C, 13 = d, 14 = E, 15 = F) pe poziția din stânga.

  • Denumiți modulul afisaj7seg
  • Biții out_seg corespund cu segmentele în modul următor:
    • Bitul 6 corespunde segmentului 2
    • Bitul 5 corespunde segmentului 4
    • Bitul 4 corespunde segmentului 6
    • Bitul 3 corespunde segmentului 3
    • Bitul 2 corespunde segmentului 5
    • Bitul 1 corespunde segmentului 1
    • Bitul 0 corespunde segmentului 0

Verificați funcționarea corectă a afișajului pe placa DE1, conectând intrarea pe SW9-SW6. Modificați pinii la ieșire astfel încât valoarea să fie afișată pe Digit 3.

b. Realizați un circuit care adună două numere binare pe 3 biți, iar rezultatul, pe 4 biți, va fi afișat ca cifră hexazecimală cu ajutorul transcodorului de la pct. a). Restricții:

  • Creați un nou proiect, în care modulul top-level este denumit top.
  • Cele două intrări se vor nota cu in1, respectiv in2, și se vor conecta la switchurile SW7-SW5 (corespunzător biților 2->0 ai lui in1, în această ordine), respectiv SW4-SW2 (corespunzător biților 2->0 ai lui in2, în această ordine) .
  • Ieșirile se vor conecta la pinii corespunzători pentru afișajul cu 7 segmente, Digit 3.
  • Sumatorul și transcodorul vor fi descrise în module diferite (denumite sumator și afisaj7seg, scrise în fișiere diferite, și instanțiate în modulul de top.

Exercitiul 5

a. Completați și modificații transcodorul de la exemplul 2 astfel încât să poată afișa valorile de la 0 la 15, în baza 16 (10 = A, 11 = b, 12 = C, 13 = d, 14 = E, 15 = F) pe poziția a doua din stânga.

  • Denumiți modulul afisaj7seg
  • Biții out_seg corespund cu segmentele în modul următor:
    • Bitul 6 corespunde segmentului 3
    • Bitul 5 corespunde segmentului 4
    • Bitul 4 corespunde segmentului 1
    • Bitul 3 corespunde segmentului 2
    • Bitul 2 corespunde segmentului 0
    • Bitul 1 corespunde segmentului 5
    • Bitul 0 corespunde segmentului 6

Verificați funcționarea corectă a afișajului pe placa DE1, conectând intrarea pe SW8-SW5. Modificați pinii la ieșire astfel încât valoarea să fie afișată pe Digit 2.

b. Realizați un circuit care înmulțește două numere binare, numărul in1, pe 2 biți, și numărul in2, pe 3 biți, iar rezultatul, pe 4 biți, va fi afișat ca cifră hexazecimală cu ajutorul transcodorului de la pct. a). Restricții:

  • Creați un nou proiect, în care modulul top-level este denumit top.
  • Cele două intrări se vor nota cu in1, respectiv in2, și se vor conecta la switchurile SW3-SW2 (corespunzător biților 1->0 ai lui in1, în această ordine), respectiv SW9-SW7 (corespunzător biților 2->0 ai lui in2, în această ordine) .
  • Ieșirile se vor conecta la pinii corespunzători pentru afișajul cu 7 segmente, Digit 2.
  • Inmulțitorul și transcodorul vor fi descrise în module diferite (denumite produs și afisaj7seg, scrise în fișiere diferite, și instanțiate în modulul de top.

Indicație: Produsul celor două numere (ieșirea înmulțitorului) este un număr pe 5 biți, notat, de ex, prod. Dacă rezultatul depășește valoarea 15, la ieșire vom afișa „eroare”, care poate fi simbolizată, de ex, de o liniuță pe poziția centrală (segmentul 6). Ieșirea modulului de top, notată out, se calculează în felul următor:

assign out = prod[4] ? 7'b1111110 : out_seg;

Exercitiul 6

a. Completați și modificații transcodorul de la exemplul 2 astfel încât să poată afișa valorile de la 0 la 15, în baza 16 (10 = A, 11 = b, 12 = C, 13 = d, 14 = E, 15 = F) pe a doua poziție din dreapta.

  • Denumiți modulul afisaj7seg
  • Biții out_seg corespund cu segmentele în modul următor:
    • Bitul 6 corespunde segmentului 1
    • Bitul 5 corespunde segmentului 3
    • Bitul 4 corespunde segmentului 2
    • Bitul 3 corespunde segmentului 6
    • Bitul 2 corespunde segmentului 4
    • Bitul 1 corespunde segmentului 5
    • Bitul 0 corespunde segmentului 0

Verificați funcționarea corectă a afișajului pe placa DE1, conectând intrarea pe SW4-SW1. Modificați pinii la ieșire astfel încât valoarea să fie afișată pe Digit 1.

b. Realizați un circuit care face operația SAU (bit cu bit) între 2 numere binare pe 4 biți, iar rezultatul, pe 4 biți, va fi afișat ca cifră hexazecimală cu ajutorul transcodorului de la pct. a). Restricții:

  • Creați un nou proiect, în care modulul top-level este denumit top.
  • Cele două intrări se vor nota cu in1, respectiv in2, și se vor conecta la switchurile SW8-SW5 (corespunzător biților 3->0 ai lui in1, în această ordine), respectiv SW4-SW1 (corespunzător biților 3->0 ai lui in2, în această ordine) .
  • Ieșirile se vor conecta la pinii corespunzători pentru afișajul cu 7 segmente, Digit1.
  • Circuitul logic și transcodorul vor fi descrise în module diferite (denumite sau și afisaj7seg, scrise în fișiere diferite, și instanțiate în modulul de top.

Submiterea Exercițiilor

Pentru notare, se vor submite următoarele fișiere, către adresa de e-mail indicată de cadrul didactic.

O arhivă zip ce va conține:

  • Trei fișiere Verilog cu extensia .v care conțin descrierea Verilog a circuitului logic, a transcodorului și a modulului de top.
  • Un fișier de constrângeri, cu extensia .qsf
  • Un fișier de tip proiect Quartus, cu extensia .qpf

Atentie, arhiva va contine doar cele 5 fisiere (fara directoare).

Subiectul mesajului de e-mail trebuie să respecte formatul [Nume]_[Prenume]_[Grupa]_7seg_[Nr. Exercitiu] de exemplu Petrica_Lucian_423B_7seg_4


Recomandări pentru cadrele didactice