CID Lab Lucrarea 3: Diferență între versiuni

De la WikiLabs
(Noțiuni și cunoștințe necesare)
(Exemplu)
 
(Nu s-au afișat 11 versiuni intermediare efectuate de același utilizator)
Linia 9: Linia 9:
 
== Exemplu ==
 
== Exemplu ==
  
Descrieți în Verilog un numărător pe 32 de biți cu reset sincron. Legați semnalul de reset la butonul KEY3. Legați cei mai puțin semnificativi 4 biți ai ieșirii numărătorului pe ledurile verzi LDG3 - LDG0 și biții [26:23] pe ledurile roșii LDR3-LD0. Observați variația de viteză.
+
Descrieți în Verilog un numărător pe 32 de biți cu reset sincron. Legați semnalul de reset la butonul KEY3. Legați cei mai puțin semnificativi 4 biți ai ieșirii numărătorului pe ledurile verzi LEDG3 - LEDG0 și biții [26:23] pe ledurile roșii LEDR3-LEDR0. Observați variația de viteză.
  
== Exercițiu ==
+
== Exerciții ==
  
Descrieți în Verilog un numărător cu reset '''sincron''', având un număr de biți suficient de mare pentru ca bitul cel mai semnificativ (MSB) al numărătorului să oscileze cu perioadă cât mai apropiată de o secundă. Scrieți modulul Verilog și fișierul de constrângeri respectând următoarele cerințe:
+
Descrieți în Verilog un circuit care să genereze un semnal dreptunghiular periodic de frecvență (perioadă) dată, folosind unul dintre semnalele de ceas de pe placa DE1, conform cerințelor de la exercițiile 1-6. Acest circuit conține un numărător cu semnal de reset '''sincron''' și are un număr de biți suficient de mare pentru a obține frecvența dorită. Scrieți modulul Verilog respectând următoarele cerințe:
* numele modulului trebuie să fie '''counter_1s'''
+
* numele modulului trebuie să fie '''counter_ks''', unde k este perioada indicată pentru fiecare exercițiu
 
* interfața modulului trebuie să fie compusă din următoarele semnale:
 
* interfața modulului trebuie să fie compusă din următoarele semnale:
 
*# semnalul de ceas, numit '''clk'''
 
*# semnalul de ceas, numit '''clk'''
 
*# semnalul de reset, numit '''reset'''
 
*# semnalul de reset, numit '''reset'''
*# un semnal de ieșire de un bit numit '''out''' care să oscileze cu o perioadă cât mai apropiată de o secundă.
+
*# un semnal de ieșire de un bit numit '''out''' care să oscileze cu perioada cerută.
* legați semnalul de reset la butonul 2 (BTN2)
+
Constrângerile pentru semnalul de reset, ledul pe care se afișează ieșirea și semnalul de ceas folosit sunt precizate pentru fiecare exercițiu în parte.
* legați semnalul de ieșire la ledul 5 (LD5)
+
 
* legați semnalul de ceas generatorul de ceas de pe placă
+
Pentru nota maximă (10) se va urmări generarea semnalului de frecvența dorită. Se va puncta cu cel mult nota 8 generarea unui semnal de o frecvență cât mai apropiată de această frecvență, folosind una dintre ieșirile numărătorului.
 +
 
 +
===Exercițiul 1===
 +
Semnalul de ieșire are perioada de 1s.
 +
Se va folosi semnalul de ceas al plăcii CLOCK27.
 +
Intrarea de reset este butonul KEY2.
 +
Ieșirea este conectată pe LEDR9.
 +
 
 +
===Exercițiul 2===
 +
Semnalul de ieșire are perioada de 2s.
 +
Se va folosi semnalul de ceas al plăcii CLOCK24.
 +
Intrarea de reset este butonul KEY0
 +
Ieșirea este conectată pe LEDR5.
 +
 
 +
===Exercițiul 3===
 +
Semnalul de ieșire are perioada de 3s.
 +
Se va folosi semnalul de ceas al plăcii CLOCK50.
 +
Intrarea de reset este butonul KEY1.
 +
Ieșirea este conectată pe LEDR0.
 +
 
 +
===Exercițiul 4===
 +
Semnalul de ieșire are perioada de 2s.
 +
Se va folosi semnalul de ceas al plăcii CLOCK27.
 +
Intrarea de reset este butonul KEY3.
 +
Ieșirea este conectată pe LEDG7.
 +
 
 +
===Exercițiul 5===
 +
Semnalul de ieșire are perioada de 3s.
 +
Se va folosi semnalul de ceas al plăcii CLOCK24.
 +
Intrarea de reset este butonul KEY2.
 +
Ieșirea este conectată pe LEDG0.
 +
 
 +
===Exercițiul 6===
 +
Semnalul de ieșire are perioada de 1s.
 +
Se va folosi semnalul de ceas al plăcii CLOCK50.
 +
Intrarea de reset este butonul KEY0.
 +
Ieșirea este conectată pe LEDR4.
  
 
== Exercițiu Bonus ==
 
== Exercițiu Bonus ==
  
Implementați un circuit care să genereze un semnal de ieșire cu perioada exact o secundă. Hint: folosiți un numărător și un circuit care resetează numărătorul atunci când ieșirea acestuia atinge o anumită valoare.
+
 
 +
Implementați circuitul de la exercițiul anterior având un modul de top care descrie structural principiul divizorului de frecvență (folosiți un numărător și un circuit care resetează numărătorul atunci când ieșirea acestuia atinge o anumită valoare). În modulul de top vor fi instanțiate numărătorul și circuitul care generează semnalul de reset pentru numărător.
 +
 
 +
== Submiterea Exercițiilor ==
 +
 
 +
Pentru notare, se vor submite următoarele fișiere, către adresa de e-mail indicată de cadrul didactic.
 +
 
 +
O arhivă <span style="color: red; font-weight: bold">zip</span> ce va conține:
 +
*Fișierul Verilog cu extensia .v care conține descrierea Verilog a circuitului. Dacă ați rezolvat și exercițiul bonus veți trimite cele 3 fișiere.
 +
*Un fișier de constrângeri, cu extensia .qsf
 +
*Un fișier de tip proiect Quartus, cu extensia .qpf
 +
Atentie, arhiva va contine doar cele 3 fisiere (fara directoare).
 +
 
 +
Subiectul mesajului de e-mail trebuie să respecte formatul <span style="color: red; font-weight: bold">[Nume]_[Prenume]_[Grupa]_numarator_[Nr. Exercitiu]</span> de exemplu Petrica_Lucian_423B_7seg_4
 +
 
 
== Recomandări pentru cadrul didactic ==
 
== Recomandări pentru cadrul didactic ==
  
Se vor verifica noțiunile de circuite sincrone (blocuri always cu activare pe front, atribuiri non-blocking) și se va raspunde întrebărilor studenților.
+
Se vor verifica noțiunile de circuite sincrone (blocuri always cu activare pe front, atribuiri non-blocking).
 +
Va fi explicată ideea divizorului de frecvență pornind de la o frecvență a ceasului diferită de cele de pe placă și se va raspunde întrebărilor studenților.

Versiunea curentă din 12 aprilie 2017 10:37

Noțiuni și cunoștințe necesare

Exemplu

Descrieți în Verilog un numărător pe 32 de biți cu reset sincron. Legați semnalul de reset la butonul KEY3. Legați cei mai puțin semnificativi 4 biți ai ieșirii numărătorului pe ledurile verzi LEDG3 - LEDG0 și biții [26:23] pe ledurile roșii LEDR3-LEDR0. Observați variația de viteză.

Exerciții

Descrieți în Verilog un circuit care să genereze un semnal dreptunghiular periodic de frecvență (perioadă) dată, folosind unul dintre semnalele de ceas de pe placa DE1, conform cerințelor de la exercițiile 1-6. Acest circuit conține un numărător cu semnal de reset sincron și are un număr de biți suficient de mare pentru a obține frecvența dorită. Scrieți modulul Verilog respectând următoarele cerințe:

  • numele modulului trebuie să fie counter_ks, unde k este perioada indicată pentru fiecare exercițiu
  • interfața modulului trebuie să fie compusă din următoarele semnale:
    1. semnalul de ceas, numit clk
    2. semnalul de reset, numit reset
    3. un semnal de ieșire de un bit numit out care să oscileze cu perioada cerută.

Constrângerile pentru semnalul de reset, ledul pe care se afișează ieșirea și semnalul de ceas folosit sunt precizate pentru fiecare exercițiu în parte.

Pentru nota maximă (10) se va urmări generarea semnalului de frecvența dorită. Se va puncta cu cel mult nota 8 generarea unui semnal de o frecvență cât mai apropiată de această frecvență, folosind una dintre ieșirile numărătorului.

Exercițiul 1

Semnalul de ieșire are perioada de 1s. Se va folosi semnalul de ceas al plăcii CLOCK27. Intrarea de reset este butonul KEY2. Ieșirea este conectată pe LEDR9.

Exercițiul 2

Semnalul de ieșire are perioada de 2s. Se va folosi semnalul de ceas al plăcii CLOCK24. Intrarea de reset este butonul KEY0 Ieșirea este conectată pe LEDR5.

Exercițiul 3

Semnalul de ieșire are perioada de 3s. Se va folosi semnalul de ceas al plăcii CLOCK50. Intrarea de reset este butonul KEY1. Ieșirea este conectată pe LEDR0.

Exercițiul 4

Semnalul de ieșire are perioada de 2s. Se va folosi semnalul de ceas al plăcii CLOCK27. Intrarea de reset este butonul KEY3. Ieșirea este conectată pe LEDG7.

Exercițiul 5

Semnalul de ieșire are perioada de 3s. Se va folosi semnalul de ceas al plăcii CLOCK24. Intrarea de reset este butonul KEY2. Ieșirea este conectată pe LEDG0.

Exercițiul 6

Semnalul de ieșire are perioada de 1s. Se va folosi semnalul de ceas al plăcii CLOCK50. Intrarea de reset este butonul KEY0. Ieșirea este conectată pe LEDR4.

Exercițiu Bonus

Implementați circuitul de la exercițiul anterior având un modul de top care descrie structural principiul divizorului de frecvență (folosiți un numărător și un circuit care resetează numărătorul atunci când ieșirea acestuia atinge o anumită valoare). În modulul de top vor fi instanțiate numărătorul și circuitul care generează semnalul de reset pentru numărător.

Submiterea Exercițiilor

Pentru notare, se vor submite următoarele fișiere, către adresa de e-mail indicată de cadrul didactic.

O arhivă zip ce va conține:

  • Fișierul Verilog cu extensia .v care conține descrierea Verilog a circuitului. Dacă ați rezolvat și exercițiul bonus veți trimite cele 3 fișiere.
  • Un fișier de constrângeri, cu extensia .qsf
  • Un fișier de tip proiect Quartus, cu extensia .qpf

Atentie, arhiva va contine doar cele 3 fisiere (fara directoare).

Subiectul mesajului de e-mail trebuie să respecte formatul [Nume]_[Prenume]_[Grupa]_numarator_[Nr. Exercitiu] de exemplu Petrica_Lucian_423B_7seg_4

Recomandări pentru cadrul didactic

Se vor verifica noțiunile de circuite sincrone (blocuri always cu activare pe front, atribuiri non-blocking). Va fi explicată ideea divizorului de frecvență pornind de la o frecvență a ceasului diferită de cele de pe placă și se va raspunde întrebărilor studenților.