CID Lab Lucrarea 3

De la WikiLabs
Jump to navigationJump to search

Noțiuni și cunoștințe necesare

Cerințe

  • Descrieți în Verilog un modul de control pentru sistemul de afișaj cu 7 segmente. Acesta primește ca intrare valoarea care se dorește afișată (între 0 și 9) și la ieșire va genera semnalele de control pentru segmente și semnalele de control AN pentru cifre. Pentru valori mai mari decât 9, se va lumina forma caracterului E urmat de punct.
  • Folosiți patru switch-uri pentru selecția valorii
  • Folosiți două switch-uri pentru selecția cifrei
  • Se vor folosi pentru implementare structuri condiționale Verilog (if/case)
  • Circuitul va avea următoarele intefețe:
    • number, pe 4 biți, care determină cifra afișată pe 7 segmente
    • selection, pe 2 biți, care determină care dintre cele 4 afișaje cu 7 segmente este folosit pentru afișare
    • segment, pe 8 biți, care activează semnalele de control pentru aprinderea segmentelor (CA - CP)
    • digit, pe 4 biți, care activează semnalele de control pentru afișaj (AN0 - AN3)

Recomandări pentru cadrele didactice

  • Nu este necesară introducerea nici unei noțiuni noi, acestea fiind deja prezentate la seminar; Eventual se poate exemplifica prima linie din blocul case asociat memoriei ROM.
  • Se va răspunde la întrebările studenților.