CID Seminar 3

De la WikiLabs
Versiunea din 21 martie 2015 23:43, autor: Mdascalu (Discuție | contribuții) (Exerciţiul 2)

În acest seminar veţi învăţa ce este un ciruit secevențial și cum se descrie acesta în Verilog.

Cuvinte cheie: clock, flip-flop, circuit sincron/ asincron, atribuire blocking/ non-blocking

Sintaxă Verilog: always, initial, forever

Circuitele digitale pot fi de două tipuri:

  1. circuite logice combinaționale (CLC) - pot fi descrise fie folosind instrucțiuni de tip assign, fie folosind procese de tip always
  2. circuite secvențiale - pot fi descrise folosind doar procese de tip always

Exerciţiul 1

Descrieți în Verilog un semnal de ceas cu perioada 6 unități de timp.

Explicaţie suplimentară

Pe ieșirile unui CLC poate să apară hazard. Pentru a elimina hazardul, ieșirile unui CLC pot fi sincronizate cu un semnal suplimentar, numit semnal de ceas (clock). Semnalul de ceas are următoarele proprietăți:

  1. Este semnal dreptunghiular (la fel ca toate celelalte semnale digitale)
  2. Este un semnal periodic
  3. Este singurul semnal dintr-un sistem digital care este activ pe front. Toate celelalte semnale prezente într-un sistem digital sunt active pe palier.
Modalitatea cea mai simplă prin care se poate genera un semnal de ceas în Verilog este folosind un proces initial și o buclă forever. După instrucțiunea forever nu se mai adaugă nici o instrucțiune, deoarece ea nu se va executa niciodată.

Exerciţiul 2

xxx

Exerciţiul 3

Descrieți în Verilog circuitul din figura 1, folosind o instrucțiune assign, respectiv un proces always.

Figura 1 - Circuit logic combinațional (CLC)

Explicaţie suplimentară

Când utilizați assign - semnalul trebuie declarat de tip wire.

Când utilizați always - semnalul trebuie declarat de tip reg.

Exerciţiul 4

Să se descrie în Verilog circuitul din figura 3 în două moduri:

  • separând partea combinațională de cea secvențială (folosind 2 procese always)
  • folosind un singur proces always

Figura 3 - Un circuit secvențial

Exemplul 5

Să se descrie în Verilog un numărător complet (in, reset, load, enable, up/down, out). Simulați acest numărător. Considerați intrarile pe 4 biți.


Evidențiați formele de unda de pe iesirea numaratorului! Ce observați?

Exerciţiul 6

Simulați și comparați următoarele circuite (refolosiți un testbench din exemplul 7):

Circuitul 1:

  
always@(posedge clk) begin
    if (nReset == 0) begin
        b <= 0;
        c <= 0;
    end else begin
        b <= a;
        c <= b;
    end
end

Circuitul 2:

 
always@(posedge clk) begin
    if (nReset == 0) begin
        b = 0;
        c = 0;
    end else begin
        b = a;
        c = b;
    end
end

Observație: Pentru descrierea circuitelor sincrone cu ceasul se folosește întotdeauna atribuirea non-blocking <=.

Explicatii suplimentare

Mai mult, modalitatea in care se atribuie valori semnalelor este recomandata a fi de tip non-blocking (cu operatorul <=, care în acest context înseamnă săgeată spre stânga, adică “ia valoarea”, şi NU mai mic sau egal!!!), spre deosebire de procesele always ce descriu circuite pur combinationale si unde atribuirea de valori noi se face folosind doar atribuirea de tip blocking (cu operatorul =).

Iata diferenta intre tipul de atribuire blocking si cea non-blocking:

Spre deosebire de atribuirea blocking (=), atribuirea non-blocking (<=) nu actualizeaza imediat semnalul reg din stânga, ci doar la sfârşitul pasului de simulare curent.

Iata un exemplu: descrierea mai multor bistabili în procese diferite, folosind atribuirea blocking:

always @(posedge clock)
    b = a;
always @(posedge clock)
    c = b;

În acest caz particular, la sosirea unui front pozitiv al ceasului în simulare ambele procese sunt executate. Este însă imposibil de spus care va fi primul proces executat de simulator. Apar două cazuri posibile: Fie cele două procese sunt executate în ordinea în care au fost scrise. În acest caz, B va fi updatat primul şi noua valoare a lui B va fi folosită pentru a-l updata pe C. Deci B şi C vor avea aceeaşi valoare, mai exact valoarea A!

Fie cele două procese always vor fi executate în ordinea inversă. În acest caz, lui C i se va atribui vechea valoare a lui B, apoi B va fi updatat cu valoarea A.

Astfel, pot apărea diferenţe între rezultatele obţinute în urma simulării folosind tool-uri de simulare diferite, dar pot apărea diferenţe şi între rezultatele simulării descrierii RTL şi a simulării post-layout! Iata ce se intampla insa, daca implementam cei doi FFs folosind atribuiri non-blocking:

always @(posedge clock)
    b <= a;
always @(posedge clock)
    c <= b;

In acest caz, B şi C nu primesc valori noi decât după cele ambele atribuiri au fost executate, adică pe frontul pozitiv următor! Astfel, schema ce va fi simulată va fi identică cu cea generată în urma sintezei codului RTL.

Temă

  1. Descrieți în Verilog și simulați un circuit de numărare folosind un bistabil cu intrare de Set asincronă cu ceasul şi activă pe palierul de 1 și intrare de Load sincronă cu ceasul, activă pe palierul de 1. Când Load este activ, numărătorul se încarcă cu o valoare Data_In.
  2. Descrieți și simulați funcționarea unui registru de deplasare la stânga, folosind operatorul de shiftare <<, respectiv cel de concatenare {}.
  3. Descrieți și simulați un registru de deplasare la stânga circular (MSB devine LSB).